[Sorted by time] [Sorted by topic] [Under submission]

  1. RTT: Reuse Time Tracking for Use-After-Free Detection
    Yubo Du, Yanan Guo, Youtao Zhang, and Jun Yang
    38th ACM International Conference on Supercomputing.
    (ICS'24)

  2. Invalidate+Compare: A Timer-Free GPU Cache Attack Primitive
    Zhenkai Zhang, Kunbei Cai, Yanan Guo, Fan Yao, and Xing Gao
    33rd USENIX Security Symposium.
    (USENIX Security'24)

  3. Uncore Encore: Covert Channels Exploiting Uncore Frequency Scaling
    Yanan Guo, Dingyuan Cao, Xin Xin, Youtao Zhang, and Jun Yang
    56th IEEE/ACM International Symposium on Microarchitecture.
    (MICRO'23)

  4. IDYLL: Enhancing Page Translation in Multi-GPUs via Light Weight PTE Invalidations
    Bingyao Li, Yanan Guo, Yueqi Wang, Aamer Jaleel, Jun Yang, and Xulong Tang
    56th IEEE/ACM International Symposium on Microarchitecture.
    (MICRO'23)

  5. Understanding and Defending Patch-based Adversarial Attacks for Vision Transformer
    Liang Liu, Yanan Guo, Youtao Zhang, Jun Yang
    40th International Conference on Machine Learning.
    (ICML'23)

  6. Orchestrating Measurement-Based Quantum Computation over Photonic Quantum Processors
    Yingheng Li, Aditya Pawar, Mohadeseh Azari, Yanan Guo, Youtao Zhang, Jun Yang, Kaushik Parasuram Seshadreesan, Xulong Tang
    60th Design Automation Conference.
    (DAC'23)

  7. Generating Robust DNN with Resistance to Bit-Flip Based Adversarial Weight Attacks
    Liang Liu, Yanan Guo, Yueqiang Cheng, Youtao Zhang, Jun Yang
    IEEE Transactions on Computers.
    (TC)
    Featured Paper in the 02/22 issue of IEEE TC

  8. Leaky Way: A Conflict-Based Cache Covert Channel Bypassing Set Associativity
    Yanan Guo, Xin Xin, Youtao Zhang, Jun Yang
    55th IEEE/ACM International Symposium on Microarchitecture.
    (MICRO'22)

  9. Adversarial Prefetch: New Cross-Core Cache Side Channel Attacks
    Yanan Guo, Andrew Zigerelli, Youtao Zhang, Jun Yang
    2022 IEEE Symposium on Security and Privacy.
    (S&P'22)
    Shortlisted for Top Picks in Hardware and Embeded Security 2023

  10. Q-GPU: A Recipe of Optimizations for Quantum Circuit Simulation Using GPUs
    Yilun Zhao, Yanan Guo, Yuan Yao, Amanda Dumi, Devin M Mulvey, Shiv Upadhyay, Youtao Zhang, Kenneth D Jordan, Jun Yang, Xulong Tang
    28th IEEE International Symposium on High-Performance Computer Architecture.
    (HPCA'22)

  11. ModelShield: A Generic and Portable Framework Extension for Defending Bit-Flip based Adversarial Weight Attacks
    Yanan Guo, Liang Liu, Yueqiang Cheng, Youtao Zhang, and Jun Yang
    39th IEEE International Conference on Computer Design.
    (ICCD'21)

  12. An Adversarial Attack on DNN-based Adaptive Cruise Control Systems
    Yanan Guo, Christopher Dipalma, Takami Sato, Yulong Cal, Alfred Chen, and Yueqiang Cheng
    (ICCV'21 Workshop)

  13. Performance-Enhanced Integrity Verification for Large Memories
    Yanan Guo, Andrew Zigerelli, Yueqiang Cheng, Youtao Zhang, and Jun Yang
    1st IEEE International Symposium on Secure and Private Execution Environment Design.
    (SEED'21)

  14. SAM: Accelerating Strided Memory Accesses
    Xin Xin, Yanan Guo, Youtao Zhang, and Jun Yang
    54th IEEE/ACM International Symposium on Microarchitecture.
    (MICRO'21)

  15. IVcache: Defending Cache Side Channel Attacks via Invisible Accesses
    Yanan Guo, Andrew Zigerelli, Youtao Zhang, and Jun Yang
    31st Great Lakes Symposium on VLSI.
    (GLSVLSI'21)